CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog Modulation

搜索资源列表

  1. MSK

    0下载:
  2. 用VERILOG编写的MSK调制模块的程序代码 简单易懂-MSK modulation with a VERILOG module written in easy to understand code
  3. 所属分类:assembly language

    • 发布日期:2017-04-09
    • 文件大小:2013160
    • 提供者:龙兰飞
  1. PcodeGeneration

    2下载:
  2. 在ModelSim或其他支持Verilog语言编译的环境中仿真可得GPS的P码及与卫星数据码调制后的波形,其中一个为源程序,另一个为测试程序-ModelSim or other support in the language Verilog simulation environment to compile available GPS P-code and code of satellite data after the modulation waveform, one for the sour
  3. 所属分类:GPS编程

    • 发布日期:2014-03-26
    • 文件大小:69133
    • 提供者:tianjieyu
  1. psk

    0下载:
  2. 应用verilog语言编写实现二元相移键控调制过程-Application verilog language to achieve binary phase shift keying modulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2567
    • 提供者:king
  1. FFT

    2下载:
  2. Verilog实现的FFT模块,供OFDM调制系统使用,可供大家参考学习-Verilog implementation FFT module for the OFDM modulation system used for your reference study
  3. 所属分类:VHDL编程

    • 发布日期:2014-03-15
    • 文件大小:1222193
    • 提供者:梁贵轩
  1. AM

    1下载:
  2. FPGA内AM调制工程。内带调制波、载波生成。关键词:FPGA verilog AM DDS-AM modulation works within the FPGA. Within the band modulation wave generated carrier. Key words: FPGA verilog AM DDS
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-11
    • 文件大小:1687703
    • 提供者:baixiangzhou
  1. Verilog_example_of_pulse_width_modulation

    0下载:
  2. 学习verilog的一些资料。是脉宽调制控制的题目,以及源码和仿真文件。感觉代码风格还不错,可以学习一下。-Verilog study some of the information. Pulse width modulation control are the subject, as well as the source code and simulation files. Feel good style of code, you can study about.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:6305999
    • 提供者:nothing
  1. 16qam——modulation

    1下载:
  2. verilog编写的16qam调制程序,将所有东西装入工程,运行mmm16主程序。其中载波为一个周期采十个点,并乘以2^8-1取整数。在quartusII运行通过。-verilog modulation procedures 16qam prepared all things into works mmm16 to run the main program. One carrier for a cycle of 10 points taken, and multiplied by an inte
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:5018
    • 提供者:王力宏
  1. MPSK

    0下载:
  2. MPSK调制与解调系统设计和VHDL程序与仿真-MPSK modulation and demodulation system design and simulation of VHDL procedures and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:80185
    • 提供者:jack wolf
  1. module_dem

    2下载:
  2. 用verilog编写的信号调制解调程序,包括ask,fsk,qpsk的fpga实现-Prepared using verilog signal modulation and demodulation process, including ask, fsk, qpsk of fpga implementation
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-06
    • 文件大小:6068389
    • 提供者:yu
  1. 50846288C

    0下载:
  2. verilog 硬件编程实现bpsk调制-verilog hardware, programming bpsk Modulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:50884
    • 提供者:凡要林
  1. qam_64

    1下载:
  2. 64QAM调制,采用硬件语言verilog实现,其中调用了DDS的IP核-64QAM modulation, using language verilog hardware implementation, which is called the IP core of the DDS
  3. 所属分类:Post-TeleCom sofeware systems

    • 发布日期:2015-01-16
    • 文件大小:1055
    • 提供者:zhujing
  1. FSK_MOD_my

    1下载:
  2. verilog语言设计的用于fsk调制的源码-verilog language design for fsk modulation source
  3. 所属分类:VHDL编程

    • 发布日期:2013-11-09
    • 文件大小:227303
    • 提供者:咕嘟大树
  1. dds_final

    1下载:
  2. 使用Verilog HDL语言实现的一个DDS,可以发生0-10Mhz正弦波、方波、三角波,频率步进可调,FM调制、AM调制,调制度可调。DA芯片为8位并行,160MHz-Using the Verilog HDL language implementation of a DDS, can occur 0-10Mhz sine, square, triangle wave, frequency step tunable, FM modulation, AM modulation, adjusta
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-09-21
    • 文件大小:1638657
    • 提供者:nostalgia
  1. qpsk_module

    2下载:
  2. 采用Verilog语言编写了一个qpsk调制的程序-Verilog language using a modulation process qpsk
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-06
    • 文件大小:318604
    • 提供者:inves
  1. examples

    0下载:
  2. 二进制差分编码解码,二进制差分相移键控二进制幅移键控,二进制相移键控,二进制频移键控最小频移键控的调制与解调-Differential encoding and decoding binary, binary differential phase shift keying binary amplitude shift keying, BPSK, binary frequency shift keying Minimum Shift Keying modulation and demodulati
  3. 所属分类:Communication

    • 发布日期:2017-03-29
    • 文件大小:4664
    • 提供者:xvlu
  1. DSB3

    1下载:
  2. 利用ISE软件编写的Verilog程序,可以进行信号的双边带调制-Using ISE software program written in Verilog, can be bilateral with a modulation signal
  3. 所属分类:VHDL编程

    • 发布日期:2013-11-09
    • 文件大小:942562
    • 提供者:蜡笔
  1. ofdm

    0下载:
  2. ofdm调制解调的fpga实现。使用Verilog实现IEEE 802.16a系统的调制解调模块。-ofdm modulation and demodulation of fpga implementation. Verilog implementation using IEEE 802.16a system, modem module.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1752
    • 提供者:张维
  1. msk

    0下载:
  2. msk调制verilog HDL 实现,对学习微电子的人很有帮助-msk modulation verilog HDL to achieve, people very helpful in learning Microelectronics
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:978
    • 提供者:李博
  1. msk

    0下载:
  2. fsk调制的verilog源代码,对于学习通信和微电子的人应该会有帮助-fsk verilog source code modulation, communications and microelectronics for the study should be helpful people
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-06-05
    • 文件大小:2048
    • 提供者:李博
  1. MATLAB-and-verilog

    0下载:
  2. 1 采用正弦波,方波进行同步调制,实现调制信号、已调信号、解调信号的波形、频谱以及解调器输入输出信噪比的关系。 2 采用Verilog语言编写有符号的五位乘法器 3 实现数字与模拟调制-A sine wave, square wave synchronous modulation to achieve the modulation signal, the modulated signal, the demodulated signal waveform, spectrum and sig
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:558995
    • 提供者:许学真
« 12 3 4 5 6 »
搜珍网 www.dssz.com